본문 바로가기
공학 이야기

반도체 산업 용어 정리

by 파랑소리 2024. 1. 2.
반응형

현대 사회는 사회적 편의, 기술적 편리를 위해 급속도의 발전과 개발을 거듭하고 있습니다. 인공지능(AI), 양자 컴퓨터, 자율 주행 자동차, 유전체학, 우주 기술 등 공상과학 만화 혹은 영화에서나 접했거나 상상했었던 일들이 지면과 매스컴, 인터넷을 통해 연일 보도되고 알려지고 있습니다. 이러한 멋진 발전을 이룩해 갈 수 있는 동력은 바로 반도체 발전에 있다고 해도 과언은 아닙니다. 국가적 과제이자 세계 열강들의 경쟁적 산업인 반도체! 우리는 지금 미래의 먹거리 산업의 기본이자 중추인 반도체에 매우 깊은 관심을 갖고 있습니다. 그러나 때로는 반도체 산업에서 사용되는 용어들이 그대로 적힌 뉴스들을 듣거나 읽을 때 다소 이해가 쉽지 않았습니다. 그래서 들어봤을 용어나 사용되는 용어들을 토대로 정리해 보았습니다.

 

 

 

 

 

 

A

  • ADC (Analog-to-Digital Converter): 아날로그 신호를 디지털 신호로 변환하는 장치. 소리, 온도 등의 신호를 컴퓨터가 이해할 수 있는 디지털 형태로 변환한다.
  • ALD (Atomic Layer Deposition): 원자층 증착 기술. 반도체 및 나노 기술에서 막을 증착하는 과정으로, 단일 원자나 분자층의 두께를 제어하는 기술이다.
  • ASIC (Application-Specific Integrated Circuit): 특정 응용 분야에 맞게 설계된 집적 회로. 특정 기능을 수행하는데 최적화된 반도체 칩으로, 적응형 컴퓨팅, 통신 등 다양한 분야에서 사용된다.

 

 

 

 

 

 

B

  • Back-end: 반도체 제조 과정에서 후반부 공정. 완성된 칩을 패키징하고 테스트하여 최종 제품을 만드는 단계로, 칩 제조 후 공정이다.
  • BGA (Ball Grid Array): 칩을 부착하기 위한 소자 패키징 유형. 칩 하단에 작은 볼을 형성하여 PCB(회로 기판)에 부착하는 패키지 유형이다.
  • BJT (Bipolar Junction Transistor): 양극성 접합 트랜지스터. 전류 증폭, 스위칭 등에 사용되는 반도체 장치로, 고전력 응용에 유용하다.

 

 

 

반도체 제조 공정을 알아보자. 클릭!

 

 

 

 

C ~ D

  • CVD (Chemical Vapor Deposition): 화학 기상 증착. 화학 반응을 이용하여 층을 형성하는 증착 기술로, 반도체 제조에서 필름을 증착하는 데 사용된다.
  • CMOS (Complementary Metal-Oxide Semiconductor): 상보성 금속 산화물 반도체. 대부분의 반도체 제조에서 사용되며, 소비 전력이 적고 집적도가 높은 특징을 가지고 있다.
  • DRAM (Dynamic Random Access Memory): 동적 랜덤 액세스 메모리. 임의 접근 메모리로, 컴퓨터에서 프로그램 실행 중에 필요한 데이터를 저장하는 메모리이다.
  • Dielectric: 유전체. 전기적으로 절연되는 물질로, 반도체 공정에서 절연층을 형성하는 데 사용된다.

 

 

 

 

 

 

E

  • EEPROM (Electrically Erasable Programmable Read-Only Memory): 전기적으로 지울 수 있는 프로그램 가능한 읽기 전용 메모리. 재프로그래밍이 가능하며, 전원이 꺼져도 데이터가 보존된다.
  • ESD (Electrostatic Discharge): 정전기 방전. 높은 전압이 객체 사이를 통과할 때 발생하는 현상으로, 반도체 및 전자 장치에 피해를 줄 수 있다.
  • EDA (Electronic Design Automation): 전자 설계 자동화. 반도체 및 전자 시스템의 설계 및 제작을 자동화하기 위한 소프트웨어 도구이다.
  • Electron Mobility: 전자 이동도. 반도체 소자에서 전자가 얼마나 빠르게 움직이는지를 나타내는 지표이다.

 

 

 

F

  • FET (Field-Effect Transistor): 전계 효과 트랜지스터. 주로 반도체에서 사용되는 트랜지스터로, 전기장에 의해 전류를 제어하는 장치이다.
  • FIB (Focused Ion Beam): 집중 이온 광선. 미세한 이온 광선을 사용하여 반도체 또는 나노 기술에서 물질을 가공하거나 조사하는 데 사용된다.
  • FPGA (Field-Programmable Gate Array): 필드 프로그램 가능 게이트 배열. 사용자가 프로그래밍하여 필요한 디지털 논리 회로를 만들 수 있는 반도체 장치이다.
  • Firmware: 펌웨어. 반도체 칩이나 전자 기기에 내장된 소프트웨어로, 하드웨어를 제어하는 역할을 한다.

 

 

 

 

 

 

G ~ K

  • GaN (Gallium Nitride): 갈륨 질화물. 전력 소자 및 광발광 다이오드 등에 사용되는 반도체 재료로, 고전력 응용에 적합하다.
  • Heat Sink: 열 흡수기. 열을 효과적으로 분산시키는 장치로, 칩이나 전자 부품의 온도를 낮추는 데 사용된다.
  • IC (Integrated Circuit): 집적 회로. 반도체 소자를 작은 실리콘 칩에 집적시킨 전자 장치로, 전자기기의 핵심 부품이다.
  • JFET (Junction Field-Effect Transistor): 접합장 효과 트랜지스터. 접합장에 의해 제어되는 트랜지스터로, 저전력 응용에 사용된다.
  • KGD (Known Good Die): 완전한 제품으로 확인된 칩. 패키징 전에 테스트를 거친 제품으로, 고신뢰도 제품을 만드는 데 사용된다.

 

 

 

L ~ N

  • Lithography: 반도체 공정에서 패턴을 만드는 기술. 광패턴 형성 기술로, 반도체 칩의 소자를 제조하는 데 사용된다.
  • MEMS (Micro-Electro-Mechanical Systems): 마이크로 전기 기계 시스템. 작고 복잡한 시스템을 만드는데 사용되며, 센서, 액추에이터 등에 적용된다.
  • MLC (Multi-Level Cell): 다중 수준 셀. NAND 플래시 메모리의 한 형태로, 셀당 여러 비트를 저장하는 메모리이다.
  • NAND Flash: NAND 플래시 메모리. 대용량 데이터 저장 및 이동에 사용되는 비휘발성 메모리로, USB 드라이브, SSD 등에 사용된다.

 

 

 

 

 

 

O ~ R

  • OTP (One-Time Programmable): 일회용 프로그래밍 가능한 메모리. 단 한 번만 프로그래밍할 수 있는 메모리 유형이며, 주로 보안 관련 응용에 사용된다.
  • PDK (Process Design Kit): 공정 설계 킷. 반도체 디자인을 위한 표준화된 도구와 규칙을 포함하는 소프트웨어 패키지이다.
  • PMIC (Power Management Integrated Circuit): 전원 관리 집적 회로. 전력 공급을 제어하고 관리하기 위한 반도체 칩으로, 모바일 기기 등에서 사용된다.
  • RFID (Radio-Frequency Identification): 무선 주파수 식별. 무선 통신을 사용하여 물체를 식별하거나 추적하는 기술로, 상품 관리 등에 사용된다.

 

 

 

S ~ T

  • SoC (System-on-a-Chip): 시스템 온 칩. 단일 칩에 모든 컴퓨팅 요소를 집적시킨 반도체 디자인으로, 모바일 장치 등에 사용된다.
  • SRAM (Static Random Access Memory): 정적 랜덤 액세스 메모리. 고속의 임시 메모리로, 캐시 메모리 등에 사용된다.
  • TCAD (Technology Computer-Aided Design): 기술 지원 컴퓨터 지원 설계. 반도체 디자인 및 공정 분석을 위한 컴퓨터 시뮬레이션 기술이다.
  • TFT (Thin-Film Transistor): 박막 트랜지스터. 액정 디스플레이 등에 사용되는 전자 소자로, 고해상도 이미지 표시에 활용된다.

 

 

 

 

 

반도체 공정 8. 패키징(Packaging) 공정

반도체 패키징은 마지막 단계로, 제조된 칩을 외부 환경으로부터 보호하고, 제품에 적합하게 만들어주는 프로세스입니다. 이 단계에서는 작은 칩을 더 큰 패키지에 담아 제품으로 사용될 수 있

bluesound.tistory.com

 

 

 

U ~ Z

  • UV Lithography (Ultraviolet Lithography): 자외선 조판. 고해상도 반도체 패턴을 만드는 데 사용되는 조판 기술이다.
  • VLSI (Very-Large-Scale Integration): 매우 대규모 집적 회로. 수천 개 이상의 트랜지스터를 단일 칩에 집적시키는 기술로, 고밀도 집적회로에 사용된다.
  • X-ray Lithography: 엑스레이 조판. 반도체 제조에서 미세한 패턴을 만드는 데 사용되는 조판 기술이다.
  • Yield: 생산 수율. 제조 공정에서 얼마나 많은 제품이 불량 없이 완성되는지를 나타내는 지표이다.
  • Zener Diode: 지너 다이오드. 전압 안정기로 사용되는 다이오드로, 특정 전압을 유지하는 역할을 한다.
반응형